kuroの覚え書き

96の個人的覚え書き

2023-12-07から1日間の記事一覧

SDI-12コマンド

SDI-12インターフェースで接続した機器とのコミュニケーションについてSDI-12の規格では Bits per second: 1200 Data bits: 7 Parity: 1 Stop bits: 1 Flow Control: 反転(active LOW) で通信することになっている。Arduino,ESP32,M5stack等で接続するなら …